问答题X 纠错

参考答案:

简单信号赋值语句、条件信号赋值语句和选择信号赋值语句。条件信号赋值语句与进程中的多选择IF语句等价。

查答案就用赞题库小程序 还有拍照搜题 语音搜题 快来试试吧
无需下载 立即使用

你可能喜欢

问答题

进程语句的特点是什么?

参考答案:进程(PROCESS)语句是最具VHDL语言特色的语句。因为它提供了一种用算法(顺序语句)描述硬件行为的方法。进程实际上...

问答题

VHDL中有哪三种数据对象?详细说明它们的功能特点及使用方法。

参考答案:在VHDL中,数据对象(Data Objects)类似于一种容器,它接受不同数据类型的赋值。数据对象有三种,即常量(CO...

单项选择题

A.用户自己设计的工程项目
B.公共程序
C.共享数据
D.图形、文件

单项选择题

A.文件名和实体可以不同名
B.文件名和实体名无关
C.文件名和实体名要相同
D.不确定

单项选择题

A.file→set project to current file
B.Assignments→Assignments Editor
C.assign→pin/location chip
D.file→create default symbol

赞题库

赞题库-搜题找答案

(已有500万+用户使用)


  • 历年真题

  • 章节练习

  • 每日一练

  • 高频考题

  • 错题收藏

  • 在线模考

  • 提分密卷

  • 模拟试题

无需下载 立即使用

版权所有©考试资料网(ppkao.com)All Rights Reserved