问答题X 纠错

参考答案:

信号延时赋值,变量立即赋值
信号的代入使用<=,变量的代入使用:=;
信号在实际的硬件当中有对应的连线,变量没有

查答案就用赞题库小程序 还有拍照搜题 语音搜题 快来试试吧
无需下载 立即使用

你可能喜欢

问答题

简述VHDL程序的基本结构。

参考答案:库 library ieee;程序包 use ieee std_logic_1164.all;实体 entity实体名 ...

判断题

若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。

参考答案:

判断题

CONSTANT T2:std_logic <= ’0’;

参考答案:

判断题

在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。

参考答案:

判断题

VHDL语言与计算机C语言的没有差别。

参考答案:

判断题

进程语句中,不管在何时,process语句后面必须列出敏感信号

参考答案:

判断题

VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。

参考答案:

判断题

一个VHAL程序中仅能使用一个进程(process)语句。

参考答案:

判断题

VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体

参考答案:

判断题

传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。

参考答案:
赞题库

赞题库-搜题找答案

(已有500万+用户使用)


  • 历年真题

  • 章节练习

  • 每日一练

  • 高频考题

  • 错题收藏

  • 在线模考

  • 提分密卷

  • 模拟试题

无需下载 立即使用

版权所有©考试资料网(ppkao.com)All Rights Reserved